Physical Design Engineer Specialist
hace 3 días
The Network & Edge Group at Intel is seeking a highly skilled Physical Design Engineer to join our team. As a key member of our design team, you will be responsible for implementing custom IP and SoC designs from RTL to GDS, creating a design database ready for manufacturing.
This role requires a strong background in physical design implementation, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability, and power and noise analysis. You will work closely with cross-functional teams to develop and improve physical design methodologies and flow automation.
As a Physical Design Engineer, you will have the opportunity to contribute to the development of leadership products critically important to our customers. You will be part of a dynamic team that brings together network connectivity and edge into a business unit chartered to drive technology end-to-end product leadership.
We offer a total compensation package that ranks among the best in the industry. Our benefits include competitive pay, stock, bonuses, as well as benefit programs which include health, retirement, and vacation. Additionally, this role will be eligible for our hybrid work model which allows employees to split their time between working on-site at their assigned Intel site and off-site.
Key Responsibilities
- Performing physical design implementation of custom IP and SoC designs from RTL to GDS.
- Conducting all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability, and power and noise analysis.
- Running verification and signoff flows, including formal equivalence verification, static timing analysis, reliability verification, static and dynamic power integrity, layout verification, electrical rule checking, and structural design checking.
- Analyzing results and recommending improvements for current and future product architectures.
- Using expertise in structural and physical design to help others, including physical clock design, timing closure, coverage analysis, multiple power domain analysis, placing, routing, synthesis, and DFT.
- Optimizing designs to improve product level parameters such as power, frequency, and area.
- Participating in the development and improvement of physical design methodologies and flow automation.
-
Physical Design Methodologies Specialist
hace 5 días
San José, San José, Costa Rica Intel A tiempo completo**Job Overview**We are seeking a highly skilled Senior Physical Design Engineer to join our team at Intel.The ideal candidate will have expertise in physical design methodologies, sub-micron technology, and place and route (Fusion Compiler), as well as strong programming skills in Tcl, Perl, and Python.Main Tasks and Responsibilities:Develop new physical...
-
Senior Physical Design Engineer
hace 3 semanas
San José, San José, Costa Rica Intel A tiempo completoAt Intel, we work every single day to design and manufacture silicon products as the fundamental building blocks that empower people's digital lives.Do you love contributing to flagship products in cutting edge process nodes?Do you love solving technical challenges that no one has solved yet?Do you enjoy working with cross-functional teams to deliver...
-
Graphics HW Physical Design Engineer
hace 7 días
San José, San José, Costa Rica Intel A tiempo completoAbout the RoleAs a Graphics HW Physical Design Engineer, you will be part of a world-class IP physical design team developing groundbreaking high-performance GPU IPs for high-end graphics, gaming, artificial intelligence, media processing, and more. Our team is passionate about delivering best-in-class visual experiences that enable users to immerse...
-
San José, San José, Costa Rica Intel A tiempo completo**Company Overview**The Network & Edge Group at Intel brings together our network connectivity and edge into a business unit chartered to drive technology end-to-end product leadership.This role is part of a team that develops and implements cutting-edge physical design methodologies and tools for optimizing the design process. We are seeking an experienced...
-
Senior Physical Design Lead
hace 6 días
San José, San José, Costa Rica Intel A tiempo completo**About Us**At Intel, we are committed to designing and manufacturing cutting-edge silicon products that power people's digital lives.We're looking for an experienced Senior Physical Design Engineer to join our team in defining tools, flows, and methodologies for the development of Intel's flagship Networking and Edge products.Main Responsibilities:Develop...
-
Physical Design Engineer/Lead
hace 2 semanas
San José, San José, Costa Rica Screenovate A tiempo completoAs the Programmable Solutions Group (PSG) Business Unit within Intel, we will continue to drive the future of field-programmable gate array (FPGA) technology.PSG continues to deliver industry-leading custom logic solutions to customers, and to take advantage of the many opportunities that we see in the future for FPGA's, the Physical Design Team is seeking a...
-
Physical Design Engineer
hace 3 semanas
San José, San José, Costa Rica Intel A tiempo completoIntel put the Silicon in Silicon Valley.No one else is this obsessed with engineering a brighter future.Every day, we create world changing technology that enriches the lives of every person on earth.So, if you have a big idea, let's do something wonderful together.Join us, because at Intel, we are building a better tomorrow.Intel is shaping the future of...
-
Senior Physical Design Implementation Expert
hace 2 días
San José, San José, Costa Rica Intel A tiempo completoAbout UsThe Network & Edge Group at Intel brings together our network connectivity and edge into a business unit chartered to drive technology end-to-end product leadership. Our leadership Ethernet, Switch, IPU, Photonics, Network and Edge portfolio is comprised of critically important products to our customers. We are seeking a talented Physical Design...
-
San José, San José, Costa Rica Intel A tiempo completoJob SummaryWe are looking for a highly skilled Physical Design Static Timing Analysis Engineer to lead our efforts in developing and implementing innovative physical design techniques. The successful candidate will have expertise in standard-cell based VLSI design methodology, relevant industry-standard EDA tools, and strong analytical and problem-solving...
-
Physical Design Static Timing Analysis Engineer
hace 3 semanas
San José, San José, Costa Rica Intel A tiempo completoConceptualizes, documents, and designs tools, flows, and methods (TFM) for use in the physical design implementation of IPs, SoCs, and the interaction/handoff/reuse between IPs and SoCs.Establishes regression flows, drives improvement in RTL to GDS flows, and creates and implements methodologies for improving robustness, power, performance, area, and timing...
-
Soc Design Engineer
hace 3 semanas
San José, San José, Costa Rica Intel A tiempo completoIf you are passionate about computer graphics and working with leading Graphics Engineers on Intel's latest GPU/CPU architectures, then our Xe Structural Design (XSD) silicon development team has opportunities for you.In XSD we are passionate about delivering best-in-class visual experiences that enable users to immerse themselves in a new visual future.In...
-
Design Engineer
hace 3 semanas
San José, San José, Costa Rica Johnson Controls A tiempo completo**Job Details****Objective**Develop the design process through the execution of the different stages of the projectassigned.**Responsibilities**- Design Medium-High complexity projects under supervision of higher rank engineer, performing design proposals of the different systems and electromechanical reach, with a technical foundation and following the...
-
SOC Design Integration Specialist
hace 6 días
San José, San José, Costa Rica Intel A tiempo completoWe're looking for a talented SOC Design Integration Specialist to join our team at Intel. As a SOC Design Engineer, you'll be responsible for driving the integration and validation of IPs at the SoC level.**Responsibilities:**Work with IP providers to integrate and validate IPs at the SoC levelDrive quality assurance compliance for smooth IP to SoC...
-
IP and SoC Design Lead
hace 2 días
San José, San José, Costa Rica Intel A tiempo completoYour RoleWe are seeking a talented Physical Design Engineer to join our team and contribute to the development of leadership products critically important to our customers. As a key member of our design team, you will be responsible for implementing custom IP and SoC designs from RTL to GDS, creating a design database ready for manufacturing.This role...
-
Chip Design Engineer
hace 3 días
San José, San José, Costa Rica Screenovate A tiempo completo**About Screenovate**: Accelerated Computing Systems and Graphics (AXG) is a business group that focuses on accelerating our execution in strategic growth areas of high-performance computing and graphics. Our mission is to make zeta-scale computing accessible to every human on the planet by the end of this decade and to entertain, educate, and connect...
-
Mechanical Design Engineer
hace 3 semanas
San José, San José, Costa Rica Apollo Professional Solutions A tiempo completo**Position**:Mechanical Design Engineer**Pay$53/hr****Location: San Jose****Hybrid position**:50% onsite, 50% remote**JOB DESCRIPTION**:Mechanical Design Engineer- Our client is one of the world's leading defense contractors and a stable Fortune 500 Company, is hiring multiple Mechanical Engineers to support our Combat Vehicles programs.- These positions...
-
Soc Design Engineer
hace 3 semanas
San José, San José, Costa Rica Intel A tiempo completoDevelops the logic design, register transfer level (RTL) coding, and simulation for an SoC design and integrates logic of IP blocks and subsystems into a full chip SoC or discrete component design.Participates in the definition of architecture and microarchitecture features of the block being designed.Performs quality checks in various logic design aspects...
-
Logic Design Specialist
hace 10 horas
San José, San José, Costa Rica Intel A tiempo completoJob SummaryWe're seeking a talented Logic Design Specialist to join our team. As a key member of our design engineering group, you'll be responsible for designing and implementing logic circuits for complex digital systems. You'll work closely with other engineers to develop and test these systems, ensuring they meet performance, power, and reliability...
-
Tool Design Engineer
hace 7 días
San José, San José, Costa Rica Joby Aero, Inc. A tiempo completo**Job Overview**At Joby Aero, Inc., we are seeking a skilled Tool Design Engineer to join our team. As a key member of our design and engineering department, you will be responsible for designing and developing manufacturing aids and equipment such as jigs, fixtures, molds, etc.**Responsibilities**Design and develop manufacturing aids and equipmentHelp...
-
Tool Design Engineer
hace 3 semanas
San José, San José, Costa Rica Joby Aero, Inc. A tiempo completoAvionyx Overview: Tool Design Engineer Job Overview:Joby Aviation is searching for a tool design engineer with experience designing tools and equipment for large carbon fiber parts and assemblies for aircraft production.This person should be well versed in all aspects of the tool design process from concept sketches to implementing tools on the production...